Chisel

De la Viquipèdia, l'enciclopèdia lliure
Infotaula de llenguatge de programacióConstructing Hardware in a Scala Embedded Language (Chisel)
Tipusllenguatge de descripció de maquinari i Llenguatge de programació de domini específic Modifica el valor a Wikidata
DesenvolupadorUniversity of California, Berkeley
Darrera versió estable6.3.0 () Modifica el valor a Wikidata
Codi fontCodi font Modifica el valor a Wikidata
LlicènciaLlicència Apache, versió 2.0 Modifica el valor a Wikidata
Pàgina webchisel-lang.org

El Constructing Hardware in a Scala Embedded Language (Chisel) és un llenguatge de descripció de maquinari de codi obert (HDL) utilitzat per descriure l'electrònica digital i els circuits a nivell de transferència de registre.[1][2] Chisel es basa en Scala com a llenguatge específic de domini (DSL) incrustat. Chisel hereta els aspectes de programació funcional i orientada a objectes de Scala per descriure el maquinari digital. L'ús de Scala com a base permet descriure generadors de circuits. Hi ha documentació d'alta qualitat i accés gratuït en diversos idiomes.[3]

Els circuits descrits a Chisel es poden convertir en una descripció a Verilog per a la síntesi i la simulació.

Un exemple senzill que descriu un circuit sumador i que mostra l'organització dels components al mòdul amb ports d'entrada i sortida :

class Add extends Module {
  val io = IO(new Bundle {
    val a = Input(UInt(8.W))
    val b = Input(UInt(8.W))
    val y = Output(UInt(8.W))
  })

  io.y := io.a + io.b
}

Ús[modifica]

Tot i que Chisel encara no és un llenguatge de descripció de maquinari convencional, diverses empreses i institucions l'han explorat. L'ús més destacat de Chisel és una implementació del conjunt d'instruccions RISC-V, el xip Rocket de codi obert.[4] L'Agència de Projectes d'Investigació Avançada de Defensa (DARPA) esmenta Chisel com una tecnologia per millorar l'eficiència del disseny electrònic, on els equips de disseny més petits fan dissenys més grans. Google ha utilitzat Chisel per desenvolupar una unitat de processament de tensor per a la informàtica de punta. Alguns desenvolupadors prefereixen Cisel, ja que requereix 5 vegades menys codi i és molt més ràpid de desenvolupar que Verilog.[5]

Els circuits descrits a Chisel es poden convertir en una descripció a Verilog per a la síntesi i la simulació mitjançant un programa anomenat FIRRTL.[6]

Referències[modifica]

  1. «Chisel» (en anglès). people.eecs.berkeley.edu. University of California, Berkeley. Arxivat de l'original el 2021-10-16. [Consulta: 8 juliol 2020].
  2. «Chisel: Accelerating Hardware Design» (en anglès). RISC-V. RISC-V International.
  3. Schoeberl, Martin. Digital Design with Chisel (en en, zh, ja, vi). 2nd. Kindle Direct Publishing, August 30, 2019. ISBN 978-1689336031. 
  4. Error en arxiuurl o arxiudata.Asanović, Krste. «[Krste Asanović rocket-chip]» (en anglès). GitHub. RISC-V International. [Consulta: 11 novembre 2016].
  5. «XiangShan open-source 64-bit RISC-V processor to rival Arm Cortex-A76 - CNX Software» (en anglès americà). CNX Software - Embedded Systems News, 05-07-2021. [Consulta: 26 març 2022].
  6. «Chisel/FIRRTL Hardware Compiler Framework» (en anglès). [Consulta: 8 setembre 2022].